symbiflow@lists.librecores.org
Repositories 25 People 3
Grow your team on GitHub
GitHub is home to over 36 million developers
use GitHub to host and review code, manage projects, and build software
together across more than 100 million repositories.
Pinned repositories
Random ideas and interesting ideas for things we hope to eventually do.
23
Documenting the Xilinx 7-series bit-stream format.
273
Project X-Ray Database: XC7 Series
23
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
55
Forked from verilog-to-routing/vtr-verilog-to-routing
SymbiFlow WIP changes for Verilog to Routing -- Open Source CAD Flow for FPGA Research
10
Forked from YosysHQ/yosys
SymbiFlow WIP changes for Yosys Open SYnthesis Suite
8
-
prjxray-db
Project X-Ray Database: XC7 Series
0
Updated 12 hours ago
vtr-verilog-to-routing
Forked from verilog-to-routing/vtr-verilog-to-routing
SymbiFlow WIP changes for Verilog to Routing -- Open Source CAD Flow for FPGA Research
8
Updated 13 hours ago
symbiflow-arch-defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Python
ISC
29
55
170
(25 issues need help)
26
Updated 13 hours ago
conda-packages
Forked from litex-hub/litex-conda
Conda build recipes for the toolchains needed by LiteX / MiSoC firmware
Shell
Apache-2.0
13
0
7
0
Updated 14 hours ago
Python
ISC
35
273
79
(16 issues need help)
9
Updated 23 hours ago
C++
ISC
255
8
3
(1 issue needs help)
2
Updated 2 days ago
prjtrellis
Documenting the Lattice ECP5 bit-stream format.
Verilog
ISC
28
122
6
4
Updated 3 days ago
HTML
ISC
0
1
0
0
Updated 5 days ago
HTML
ISC
6
3
4
0
Updated 5 days ago
python-sdf-timing
Python library for working Standard Delay Format (SDF) Timing Annotation files.
Python
ISC
3
2
4
0
Updated 19 days ago
0
Updated on May 8
C++
ISC
47
1
0
0
Updated on Apr 19
icestorm
Forked from cliffordwolf/icestorm
Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)
Python
ISC
117
5
0
0
Updated on Apr 19
symbiflow-docs
Documentation for SymbiFlow
0
Updated on Apr 16
Nix
MIT
3,713
0
0
0
Updated on Apr 7
SymbiYosys
Forked from YosysHQ/SymbiYosys
SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
0
Updated on Apr 3
prjtrellis-db
Project Trellis database
0
Updated on Feb 28
0
Updated on Feb 22
Python
ISC
3
3
8
0
Updated on Feb 7
Dockerfile
ISC
1
1
0
0
Updated on Jan 9
Verilog
ISC
1
1
8
(2 issues need help)
0
Updated on Nov 28, 2018
C++
MIT
62
0
0
0
Updated on Aug 15, 2018
ideas
Random ideas and interesting ideas for things we hope to eventually do.
0
Updated on Feb 26, 2018
scratchpad
This repository contains random experiments and other similar stuff which may go away at any time.
0
Updated on Jan 30, 2018
prjxray-experiments-archive-2017 Archived
These are experiments which we conducted in 2017 as part of Project X-Ray.
Verilog
ISC
0
1
0
- 0 Updated on Dec 30, 2017
Most used topics
- © 2019 GitHub, Inc.